电子技术课程设计
学院
专业、班级
姓名
学号 指导老师
汽车尾灯控制电路
-、设计任务与要求
(1) 内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各 3盏),
用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左 转弯)。
(2) 要求:当汽车往前行驶(此时两个都未接通),6盏全灭。当汽车转
弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮 灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从 右到左顺序亮灭,右边3盏全灭。当左右两个开关同时接通时,6盏 尾灯同时明、暗闪烁。
总体框图
时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
当转到left开关时,输出选Ifen。当转到right开关时,输出选rten.
当两个都不开时,输出选IR,它是一个清零端
。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件
(1)
(2)
0
CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,
输出主要选择哪个开关起到作用
LEFTP
—LEFTE N ILE D2 —
—CLK LE D X —
一 5 " g —
次亮灭。
LEFTP 是一个左灯控制模块,是一个沿时作用,它使 3个输出灯依(4)
-JL
RIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,
RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭
四、功能模块
1、( 1)时钟脉冲的VHDL语言
library ieee;
use ieee.std」o gic_1164.all;
use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;
cp:out std」o gic);
end ck;
architecture a of ck is
signal cnter:std」ogic_vector(7 downto 0); beg in
process(clk)
begi n
if clk'eve nt and clk='1'the n
cn ter<=c nter+1;
end if;
end process;
cp<=c nter(3);
end a;
(2)时钟脉冲的生成符号
汽车尾灯
发布评论