可编程逻辑器件应用
汽车尾灯
项目名称:  汽车尾灯控制         
指导老师:           
姓名:                 
学号:           
班级:           
(以后写报告要包含以下一些内容:)
一、设计要求--------------------------------------------------------------------------------
二、设计目的--------------------------------------------------------------------------------
三、设计方案--------------------------------------------------------------------------------
四、设计程序---------------------------------------------------------------------------------
五、管脚分配---------------------------------------------------------------------------------
六、硬件下载实现现象描述------------------------------------------
七、体会、对设计工作的总结与展-------------------------------------------
一、设计要求:
假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:
a) 汽车正常行驶时指示灯都不亮。
b) 汽车右转弯时,右侧的一盏指示灯亮。
c) 汽车左转弯时,左侧的一盏指示灯亮。
d) 汽车刹车时,左右两侧的一盏指示灯同时亮。
e) 汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用。
二、设计方案:
1)、通过使能端分别对彩灯进行控制,从而实现设计要求
三、 程序语言:
----1    汽车正常行驶时指示灯都不亮。
----2    汽车右转弯时,右侧的一盏指示灯亮。
------3    汽车左转弯时,左侧的一盏指示灯亮。
-----4    汽车刹车时,左右两侧的一盏指示灯同时亮。
-----5    汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
ENTITY qiche IS
    PORT(   
             
        light_cs : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);        --------- 大到小light  69  68  67  66
        fs: in std_logic_vector(4 downto 0);           
        light : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)            --  70绿  71 72
                                       
                                       
        );
END qiche ;
ARCHITECTURE one OF qiche IS
begin
process(fs)
begin
  if fs(0)='1' then          ----    汽车正常行驶时指示灯都不亮。
    light<="000";
    light_cs<="1111";
  elsif fs(1)='1' then      -----    汽车右转弯时,右侧的一盏指示灯亮。
      light<="010";
      light_cs<="1110";
    elsif fs(2)='1' then      -----    汽车左转弯时,左侧的一盏指示灯亮。
      light<="010";
      light_cs<="1011";